Re: [sv-bc] always_comb question

From: Dave Rich <David.Rich@synopsys.com>
Date: Sun Jul 25 2004 - 23:32:40 PDT

Shalom,

It will (or should) be legal to have a force. Section 5.6 says "A force
statement is neither a continuous or procedural assignment." for the
purposes of the rules about single continuous or multiple procedural
assignments. It should follow that a force is not considered an
assignment here too.

Dave

Shalom Bresticker wrote:

>Suppose I have an always_comb which uses an intermediate variable, like
>
>always_comb
>begin
> a = b & c ;
> d = a & e ;
>end
>
>My understanding is that "a" will not be in the implicit sensitivity list, as
>listed in the exceptions in 9.2.1(2):
>
>"any expression that is also written within the block or within any function
>called within the block."
>
>Also, it will be illegal to have an assignment to "a" in another process. 9.2
>says,
>"Variables on the left-hand side of assignments within an always_comb procedure,
>including variables from the contents of a called function, shall not be written
>to by any other processes."
>
>My question is, will it be illegal to do a "force" on "a", say in simulator
>interactive mode?
>
>If not, what will happen?
>
>Thanks,
>Shalom
>
>--
>Shalom Bresticker Shalom.Bresticker @freescale.com
>Design & Reuse Methodology Tel: +972 9 9522268
>Freescale Semiconductor Israel, Ltd. Fax: +972 9 9522890
>POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 5441478
>
>[ ]Freescale Internal Use Only [ ]Freescale Confidential Proprietary
>
>
>
>
>
>

-- 
--
David.Rich@Synopsys.com
Technical Marketing Consultant and/or
Principal Product Engineer
http://www.SystemVerilog.org
tele:  650-584-4026
cell:  510-589-2625
Received on Sun Jul 25 23:32:53 2004

This archive was generated by hypermail 2.1.8 : Sun Jul 25 2004 - 23:33:26 PDT