Re: [sv-bc] questions about enumeration types

From: Dave Rich <David.Rich@synopsys.com>
Date: Mon Jun 21 2004 - 13:52:02 PDT

Yes, I agree. import p::color; should behave as if declared locally
(except the "master" equivalent type resides in the package)

Francoise Martinolle wrote:

> If I have an import of the enumeration type, does it consequently
> imports all the enumeration constants defined by the enumeration type?
> Is the following legal or do I have to add an import of the constant red?
>
> Example:
>
> package p;
> endpackage
> typedef enum color = {red, blue, yellow, green};
> module m;
> import p::color;
>
> color r;
> initial
> r = red;
> endmodule
>
> I think that this should be legal.
>
> Francoise
> '
>
>

-- 
--
David.Rich@Synopsys.com
Technical Marketing Consultant and/or
Principal Product Engineer
http://www.SystemVerilog.org
tele:  650-584-4026
cell:  510-589-2625
Received on Mon Jun 21 13:52:07 2004

This archive was generated by hypermail 2.1.8 : Mon Jun 21 2004 - 13:52:11 PDT