RE: [sv-bc] problem with $increment

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Jun 07 2004 - 10:11:59 PDT

It's easier just to write

   foreach (A[i]) ... ;

as in 8.5.3 of the 3.1A standard.

Also, it's worth noting that in the typical case of one-dimensional
arrays, one can always simply write $left(A), $right(A), $increment(A),
and so on, omitting the ",1". This follows from 10.4.3 and from 23.7,
which says "the default for the optional dimension expression is 1".

-- Brad
Received on Mon Jun 7 10:12:01 2004

This archive was generated by hypermail 2.1.8 : Mon Jun 07 2004 - 10:12:35 PDT