RE: [sv-bc] Array query return type errata


Subject: RE: [sv-bc] Array query return type errata
From: Michael McNamara (mac@verisity.com)
Date: Thu Feb 19 2004 - 03:20:49 PST


Indeed: the following code:
module x();
  integer i;
  initial begin
    i = 'bx;
    $display("I is %b",i);
    i = 1'bx;
    $display("I is %b",i);
  end
endmodule

should result in:

I is xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
I is 0000000000000000000000000000000x

-- On Feb 19 2004 at 13:05, Shalom.Bresticker@motorola.com sent a message:
> To: sv-bc@eda.org
> Subject: "RE: [sv-bc] Array query return type errata"
> Just for the record, if an integer gets 1'bx, it means that the LSB is x
> and all the higher bits are 0.
>
> Shalom
>
>
> > If the return type is the integer data type, which is at least 32 bits
> > wide, shouldn't an error return an integer with all bits set to X,
> > instead of just 1 bit set to X? If the return is a 1'bx, which bit of
> > the integer is set to X?
>
> --
> Shalom Bresticker Shalom.Bresticker@motorola.com
> Design & Reuse Methodology Tel: +972 9 9522268
> Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890
> POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478
>
> [x]Motorola General Business Information
> [ ]Motorola Internal Use Only
> [ ]Motorola Confidential Proprietary



This archive was generated by hypermail 2b28 : Thu Feb 19 2004 - 03:32:41 PST