[sv-bc] email voting on Cliff's proposal - RESULTS


Subject: [sv-bc] email voting on Cliff's proposal - RESULTS
From: Srouji, Johny (johny.srouji@intel.com)
Date: Thu Jan 08 2004 - 05:25:05 PST


Hi All,

 

Following are the email voting results:

 

Agree:

Cliff Cummings

            Matt Maidment

            Mark Hartoog

            Peter Flake

            Doug Warmke

            Francoise

            Dave Rich

            Nikhil Rishiyur

            Stu Sutherland (does not have voting privileges at this
stage)

Oppose: none

Abstain: none

 

Decision: Proposal PASSES.

 

Cliff, can you please review the following comments sent by Dave and
Peter?

 

Following are comments sent by Dave Rich:

>>>>>>>>>>>>>>>>>>>>>>

I did find one grammar correction needed in section 19,4,2

 

This interface example shows how to use modports to control signal

directions _restrict signal interface access and direction_ . It uses

the modport name in the module instantiation.

 

 

Should be

 

This interface example shows how to use modports to control signal

directions _restrict interface __ signal __access and control their

direction_ . It uses the modport name in the module instantiation.

>>>>>>>>>>>>>>>>>>>>>>

 

 

Following are comments sent by Peter Flake:

>>>>>>>>>>>>>>>>>>>>>>

 

I vote in favour of Cliff's proposal, but I have noticed that it would
be clearer if the following text and example were swapped, since the
text refers to the previous example.

REPLACE
 module m (i2 i);
  ...
endmodule

module s (i2 i);
  ...
endmodule

module top;
  i2 i();

  m u1 (.i(i.master));
  s u2 (.i(i.slave));
endmodule

The syntax of interface_name.modport_name reference_name gives a local
name for a hierarchical reference. Note that this can be generalized to
any interface with a given modport name by writing
interface.modport_name reference_name.

WITH

The syntax of interface_name.modport_name reference_name gives a local
name for a hierarchical reference. Note that this can be generalized to
any interface with a given modport name by writing
interface.modport_name reference_name.

 module m (i2 i);
  ...
endmodule

module s (i2 i);
  ...
endmodule

module top;
  i2 i();

  m u1 (.i(i.master));
  s u2 (.i(i.slave));
endmodule

 

>>>>>>>>>>>>>>>>>>>>>>

 

 

--- Johny.



This archive was generated by hypermail 2b28 : Thu Jan 08 2004 - 05:26:17 PST