Re: [sv-bc] Updated proposal SV-BC-53 Expand array querying functions


Subject: Re: [sv-bc] Updated proposal SV-BC-53 Expand array querying functions
From: Greg Jaxon (Greg.Jaxon@synopsys.com)
Date: Fri Dec 05 2003 - 10:19:27 PST


Dave Rich wrote:
> This proposal incorporates the changes suggested at our last f2f meetings
>
> 1. Only introduce system functions, not methods
> 2. Incorporate Intel's proposal by Matt for $type. Now split into
> $typeof and $typename
> 3. Consolidate type equivalence definition into a single section.
>
> Dave
>

A few typos survived - in 5.8.1(4) the edits collided quite badly - where we say:

4. An user defined type (An typedef for an enum, unpacked struct, or unpacked
    union, or a class) is equivalent to itself and variables using that type
    within the scope of the type identifier.

Replace by:

4. A user-defined type (i.e., a typedef for either an enum, an unpacked struct,
    or an unpacked union), or a class is equivalent to itself among variables
    using that type within the scope of the typedef identifier.

I think points 3 & 4 should share most of their phrasing, all differences
between them should be significant. So here is one more stab at saying the thing
on which I think we all agree:

OR Replace 3 & 4 by:

3. A data type constructed anonymously by an *enum*, an unpacked *struct*, or an
    unpacked *union* is only equivalent to itself among the entities declared
    in the immediately following declaration list.

4. A data type constructed within a *typedef* declaration by an *enum*, an
    unpacked *struct*, or an unpacked *union*, or which is declared as a *class*
    is equivalent to itself among entities declared using that type
    within the scope of the *typedef* or *class* identifier.

I think both constants and variables can be declared, and since "variables" seem
to include struct fields and array elements, I thought the term "entity" would
serve as shorthand for whatever we might call the things that can have a type.

Best regards,
Greg Jaxon



This archive was generated by hypermail 2b28 : Fri Dec 05 2003 - 10:23:01 PST