[sv-bc] Need help on unique/priority if statement


Subject: [sv-bc] Need help on unique/priority if statement
From: Andy Tsay (andytsay@yahoo.com)
Date: Wed Nov 26 2003 - 13:44:39 PST


Hi,

Happy holidays.
I need help for the usage examples of unique/priority if statement.

SystemVerilog 3.1a, Section 8.4 Selection statements:

if_else_if_statement ::=
[ unique_priority ] if ( expression ) statement_or_null
{ else [ unique_priority ] if ( expression ) statement_or_null }
[ else statement_or_null ]

Can someone help to explain what the priority/unique keywords do in the
following example:
  priority if (c1)
    v1 = exp1;
  else unique if (c2)
    v1 = exp2;
  else if (c3)
    v1 = exp3;
  else unique if (c4)
    v1 = exp4;
  else priority if (c5)
    v1 = exp5;
  else
    v1 = '0;

Thanks,
Andy



This archive was generated by hypermail 2b28 : Wed Nov 26 2003 - 13:45:24 PST