[sv-bc] RE: Connecting generated interface instances

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Feb 23 2015 - 22:35:36 PST
"I don't think that it is actually legal to access a parameter value from an interface port ..."

http://www.eda.org/svdb/view.php?id=1419
http://www.eda.org/svdb/view.php?id=905

-- Brad

[In reply to http://www.eda.org/sv-bc/hm/11698.html ]



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Feb 23 22:35:45 2015

This archive was generated by hypermail 2.1.8 : Mon Feb 23 2015 - 22:35:54 PST