RE: [sv-bc] Proposal for Mantis 2081

From: Steven Sharp <sharp@cadence.com>
Date: Thu Sep 08 2011 - 21:34:19 PDT

What would you suggest as a replacement sentence?

Note that the section where this text appears is specifically about the implicit sensitivity. The rules about another process writing to the same variables are in a different section, and it is not appropriate to say anything specifically about those in this section. So we could say something about them being analyzed appropriately based on their direction.

For the most part, arguments are already covered by the existing rules about things read/written in the block. The only serious issue I see with this is the statement that method calls via class objects add nothing to the sensitivity. Taken literally, this would even exclude the input arguments, which was presumably not the intent.

From: Gordon Vreugdenhil [mailto:gordonv@model.com]
Sent: Thursday, September 08, 2011 10:16 AM
To: Steven Sharp
Cc: sv-bc@eda.org
Subject: Re: [sv-bc] Proposal for Mantis 2081

Steven,

You indicate that actuals to inputs are analyzed; what about
inout and output (ignoring ref for the moment)? In particular,
if something is written in an always_comb, it isn't added to
the sensitivity list and must not be written by other routines.

    always_comb begin
          t(var1, var2);
          var3 = var2;
    end

Assume that var1 is "input" and var2 is "inout". Is the
process sensitive to var2? I think that with your wording
it would be and I don't think that it should. In addition,
would it be legal to write to var2 from another process?

My preference would be to say that input, output, inout
are analyzed as reads/writes as appropriate. I think
that I'd treat a "ref" as inout though I could probably
be convinced to treat it as non-contributing.

Gord.

On 9/7/2011 9:56 PM, Steven Sharp wrote:
I have uploaded a proposal for Mantis 2081. Suggestions for minor changes can be sent to the reflector, and I will make them if there is general agreement. I uploaded it in Word format, so anybody who feels the need for major changes can easily take over and modify it themselves.

--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
--
--------------------------------------------------------------------
Gordon Vreugdenhil                                503-685-0808
Model Technology (Mentor Graphics)                gordonv@model.com<mailto:gordonv@model.com>
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Sep 8 21:34:57 2011

This archive was generated by hypermail 2.1.8 : Thu Sep 08 2011 - 21:35:03 PDT