Re: [sv-bc] ansi interface port declarations

From: Greg Jaxon <Greg.Jaxon@synopsys.com>
Date: Mon Aug 22 2011 - 13:37:39 PDT
That helps dispel the idea that there is some constant_expression of interface_type.
However, the list_of_port_connections syntax still calls all connections "expression"s.

Greg



On 8/21/2011 9:24 AM, Bresticker, Shalom wrote:
Hi,
 
I have returned to working on port declarations, particularly on integrating interface port declarations (i.e., port that is an interface, not a port of an interface), hoping we can approve something before the October 1 deadline, though I am in doubt whether I will be able to attend the September 12 meeting.
 
Anyway, Mantis 1619, which added default values to input net ports in ANSI style declarations changed the BNF from
 
ansi_port_declaration ::= [ net_port_header | interface_port_header ] port_identifier { unpacked_dimension } | …
 
to
 
ansi_port_declaration ::= [ net_port_header | interface_port_header ] port_identifier { unpacked_dimension } [ = constant_expression ] | …
 
The addition of the [= constant_expression] is appropriate for net_port_header, but does not seem so for interface_port_header. I think we should split them into two, like this:
 
ansi_port_declaration ::= [ net_port_header ] port_identifier { unpacked_dimension } [ = constant_expression ]
                                      | [ interface_port_header ] port_identifier { unpacked_dimension }
                                       |  …
 
Any comments?
 
Thanks,
Shalom
 
Shalom Bresticker
Intel LAD DA, Jerusalem, Israel
+972  2 589 6582 (office)
+972 54 721 1033 (cell)
http://www.linkedin.com/in/shalombresticker
 
 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.
--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Mon Aug 22 13:38:03 2011

This archive was generated by hypermail 2.1.8 : Mon Aug 22 2011 - 13:38:08 PDT