[sv-bc] Agenda: Aug 1 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment@intel.com>
Date: Tue Jul 26 2011 - 22:06:02 PDT

SV-BC Meeting
Date: Monday, August 1, 2011
Time: 9:00am-11:00am PDT

Toll Free Dial In Number in North America: 1-888-813-5316
Caller Paid Dial In Number: 1-650-584-6338
Meeting ID: 45961

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review previous meeting minutes
  http://www.eda.org/sv-bc/minutes/sv-bc_11_07_18.txt

+ 2463 - http://www.eda.org/svdb/view.php?id=2081
  In feedback state. I think I mis-typed

  "This issue was not addressed during the 1800-2009 process."
                  ^^^
  and should have typed:

  "This issue was addressed during the 1800-2009 process."

+ 2889 - http://www.eda.org/svdb/view.php?id=2889
  Rejected by Champions.

+ 3233 - http://www.eda.org/svdb/view.php?id=3233
  Rejected by Champions.

+ 696 - http://www.eda.org/svdb/view.php?id=2081
  Any action required from SV-BC?

+ 1504 - http://www.eda.org/svdb/view.php?id=1504
  Matt posted an initial proposal based on Mantis 696.

+ 2081 - http://www.eda.org/svdb/view.php?id=2081
  Explore issue to enable future proposal

+ 3073 - http://www.eda.org/svdb/view.php?id=3073
  Identify sub-issues to be tackled.

Top 25 Mantis Items

   696 - Complete
  2310(1084, 1201) - Participants: Eric, Tom, Shalom, Steven,
                     Wilson Snyder
  3053 - Participants: Francoise, Mark, Alex, Kaiming
  3055 - Participants: Gord, Mark
  2991 - Champion: Tom, Participants: Steven
  1566 - For future discussion
  2114 - Similar to 3053. Have same group look at it.
   210 - Complete
  3056 - Champion: Shalom, Participants: Steven, Francoise
  1084 - Logical Expressions in Macros
  2115 - X-Optimism/X-Pessimism resolution
  3073 - Collected $bits issues
  1697 - Macro Loops
  1202 - Define Standard Preprocessor
  1251 - X/Z behavior in various contexts
  2289 - parameters inside comp unit & gen block are local
  1504 - parameterized structs and unions
  1144 - Out-of-bound array address
  2081 - always_comb statements
  1553 - nested module clarifications
  2684 - variable part-selects
  1861 - major modport enhancements
  1523 - behavior of ?: on complex types
  3074 - Connectivity Enhancements
  2124 - `default_nettype var-type directive

Action Items
  
Complete
  06/06/11 Matt write proposal for 1504

Outstanding
  05/10/10 Matt create Master Issue for WG-approved SV-BC Top-25
  07/19/10 Jonathan post some items for discussion related to 2114 to reflector.
  08/02/10 Brad give SV-BC feedback on Mantis 2992 to Mehdi
  08/02/10 Eric start reflector thread on Mantis 2310
  08/16/20 All send Shalom feedback about prioritizing the issues raised
           in port declaration issue summary:
           http://www.eda.org/sv-bc/hm/10498.html
  09/13/10 Jonathan show simple examples of virtual interfaces, sub-interfaces
           and base classes in modules to demonstrate different methods for
           connecting design and testbenches.
  09/27/10 Review Shalom's list of interface issues and suggest issues to
           tackle now.
  05/10/10 Matt create Master Issue for WG-approved SV-BC Top-25
  07/19/10 Jonathan post some items for discussion related to 2114 to reflector.
  08/02/10 Brad give SV-BC feedback on Mantis 2992 to Mehdi
  08/02/10 Eric start reflector thread on Mantis 2310
  08/16/20 All send Shalom feedback about prioritizing the issues raised
           in port declaration issue summary:
           http://www.eda.org/sv-bc/hm/10498.html
  09/13/10 Jonathan show simple examples of virtual interfaces, sub-interfaces
           and base classes in modules to demonstrate different methods for
           connecting design and testbenches.
  09/27/10 Review Shalom's list of interface issues and suggest issues to
           tackle now.
  11/22/10 Brad to file Mantis item for upward passing of interfaces.
  12/06/10 Matt open new Mantis item covering determination of port kind
           for .named_port connection (23.2.2.3).
  01/31/11 All check with users regarding viability of rule that virtual
           interface declarations require that the referenced interface be
           previously parsed.
  02/14/11 Users consider proposed restrictions and use-cases for varargs
           and provide feedback.
  03/14/11 Matt check back with Gord and Mark in April about feedback
           regarding interface issues.
  03/14/11 All drill down on 3055 and identify issues that would be
           straightforward to clarify regarding constant expressions, type
           operations and what is a hierarchical name.
  03/28/11 Check with Gord in early June 2011 regarding 2856 and his proposal
           to limit hierarchical references for parameters in module
           instantiations.
  05/23/11 Dave to review with Gord the slides referenced by Brad regarding
           issue 2108.
  06/06/11 Dave write proposal for 1523
  06/20/11 Steven write a proposal for 3595
  07/18/11 Francoise generalize other wording in 23.7 as suggested by Shalom
           and complete proposal for 3608
  07/18/11 Gord to file an SV-CC issue to add a clarification that
           vpiLocalParam should be true for cases where parameter declared but
           it is a synonym for localparam as noted in 2289 and 3326.
  07/18/11 Shalom resolve 1251 by referring to rules of if-statement (12.4)
  07/18/11 File new mantis item to clarify determination of true/false for
           If-statement (12.4), while, do-while, iff, conditional operator
           and potentially others for all data types.
  07/18/11 File new mantis item to request clarification of Short-circuiting
           of &&, ||, ->, ?: (11.3.5)

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 26 22:06:45 2011

This archive was generated by hypermail 2.1.8 : Tue Jul 26 2011 - 22:06:58 PDT