RE: [sv-bc] mantis item 3608

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Tue Jun 14 2011 - 04:54:46 PDT

See also Mantis 1235.

Also, looking at the notes in 3608, is the issue member selects or is it forward references?

Regards,
Shalom

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Brad
> Pierce
> Sent: Monday, June 13, 2011 6:24 PM
> To: Francoise Martinolle
> Cc: SV-BC
> Subject: Re: [sv-bc] mantis item 3608
>
> Can we say instead 'data object'? According to 6.2, "A data object is
> a named entity that
> has a data value and a data type associated with it, such as a
> parameter, a variable, or a net."
>
> -- Brad
>
> On Mon, Jun 13, 2011 at 6:34 AM, Francoise Martinolle <fm@cadence.com>
> wrote:
> > I created a mantis item and put a proposal for 3608.
> >
> > Can this mantis item be added to the next meeting agenda.
> > Francoise
> >        '
> > --
> > This message has been scanned for viruses and
> > dangerous content by MailScanner, and is
> > believed to be clean.
>
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
>

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 14 04:57:04 2011

This archive was generated by hypermail 2.1.8 : Tue Jun 14 2011 - 04:57:15 PDT