[sv-bc] ended / matched methods


Subject: [sv-bc] ended / matched methods
From: Guillermo Maturana (maturana@sbcglobal.net)
Date: Fri Oct 03 2003 - 13:51:08 PDT


The ended and matched methods are not mentioned explicitly in the BNF.
Since they are builtin methods they would seem to fall under "primary"
(A.8.4), in which case they would be allowed in any kind of expression,
not just sequence expressions. Is this the intention? One would think their
use would be more restricted. Can someone clarify this? Should the LRM
be more clear about this? Perhaps this is an issue for the assertions
committee. Thanks,
    _Matute



This archive was generated by hypermail 2b28 : Fri Oct 03 2003 - 13:53:03 PDT