Re: [sv-bc] Agenda: March 28, 2011 SV-BC Meeting

From: Brad Pierce <brad_pierce@acm.org>
Date: Mon Mar 28 2011 - 09:00:28 PDT

Please use Meeting ID: 45961

On Fri, Mar 25, 2011 at 12:08 AM, Maidment, Matthew R
<matthew.r.maidment@intel.com> wrote:
> SV-BC Meeting
> Date: Monday, March 28, 2011
> Time: 9:00am-11:00am PDT
>
> Toll Free Dial In Number in North America:  1-888-813-5316
> Caller Paid Dial In Number: 1-650-584-6338
> Meeting ID: 7839818
>
> Agenda
>
> + Review IEEE patent policy
>  http://standards.ieee.org/board/pat/pat-slideset.ppt
>
> + Review previous meeting minutes
>  http://www.eda.org/sv-bc/minutes/sv-bc_11_03_14.txt
>
> + Any input on constant expression issues related to 3055?
>
>  Please review related issues per AI from March 14 meeting.
>
> + Examples for Issue 210
>
>  Review any examples provided by Matt
>
> Top 25 Mantis Items
>
>   696 - Complete
>  2310(1084, 1201) - Participants: Eric, Tom, Shalom, Steven,
>                     Wilson Snyder
>  3053 - Participants: Francoise, Mark, Alex, Kaiming
>  3055 - Participants: Gord, Mark
>  2991 - Champion: Tom,  Participants: Steven
>  1566 - For future discussion
>  2114 - Similar to 3053.  Have same group look at it.
>   210 - Participants: Shalom, Matt
>  3056 - Champion: Shalom, Participants: Steven, Francoise
>  1084 - Logical Expressions in Macros
>  2115 - X-Optimism/X-Pessimism resolution
>  3073 - Collected $bits issues
>  1697 - Macro Loops
>  1202 - Define Standard Preprocessor
>  1251 - X/Z behavior in various contexts
>  2289 - parameters inside comp unit & gen block are local
>  1504 - parameterized structs and unions
>  1144 - Out-of-bound array address
>  2081 - always_comb statements
>  1553 - nested module clarifications
>  2684 - variable part-selects
>  1861 - major modport enhancements
>  1523 - behavior of ?: on complex types
>  3074 - Connectivity Enhancements
>  2124 - `default_nettype var-type directive
>
> Action Items
>
> Complete
>  02/14/11 Matt update 2991 on status and link to Mantis covering AOP and
>           notify SV-EC.
>
> Outstanding
>  05/10/10 Matt create Master Issue for WG-approved SV-BC Top-25
>  07/19/10 Matt follow-up about voting rules for technical sub-committee.
>           Is there a limit on the number of reps from 1 entity?
>  07/19/10 Dave to post request to reflectors for clarification of 2108
>  07/19/10 Jonathan post some items for discussion related to 2114 to reflector.
>  08/02/10 Brad give SV-BC feedback on Mantis 2992 to Mehdi
>  08/02/10 Eric start reflector thread on Mantis 2310
>  08/02/10 Gord meet F2F with Mark when in Bay Area
>  08/16/10 Matt to rethink 210 in terms of configuration and alias.
>  08/16/20 All send Shalom feedback about prioritizing the issues raised
>           in port declaration issue summary:
>           http://www.eda.org/sv-bc/hm/10498.html
>  09/13/10 Jonathan show simple examples of virtual interfaces, sub-interfaces
>           and base classes in modules to demonstrate different methods for
>           connecting design and testbenches.
>  09/27/10 Review Shalom's list of interface issues and suggest issues to
>           tackle now.
>  05/10/10 Matt create Master Issue for WG-approved SV-BC Top-25
>  07/19/10 Matt follow-up about voting rules for technical sub-committee.
>           Is there a limit on the number of reps from 1 entity?
>  07/19/10 Dave to post request to reflectors for clarification of 2108
>  07/19/10 Jonathan post some items for discussion related to 2114 to reflector.
>  08/02/10 Brad give SV-BC feedback on Mantis 2992 to Mehdi
>  08/02/10 Eric start reflector thread on Mantis 2310
>  08/16/20 All send Shalom feedback about prioritizing the issues raised
>           in port declaration issue summary:
>           http://www.eda.org/sv-bc/hm/10498.html
>  09/13/10 Jonathan show simple examples of virtual interfaces, sub-interfaces
>           and base classes in modules to demonstrate different methods for
>           connecting design and testbenches.
>  09/27/10 Review Shalom's list of interface issues and suggest issues to
>           tackle now.
>  11/22/10 Brad to file Mantis item for upward passing of interfaces.
>  12/06/10 Matt open new Mantis item covering determination of port kind
>           for .named_port connection (23.2.2.3).
>  01/31/11 All check with users regarding viability of rule that virtual
>           interface declarations require that the referenced interface be
>           previously parsed.
>  02/14/11 Users consider proposed restrictions and use-cases for varargs
>           and provide feedback.
>  03/14/11 Matt talk to Karen about Accellera plans for participation.
>           Questions about how to get user input, especially for bugs.
>  03/14/11 Matt check back with Gord and Mark in April about feedback
>           regarding interface issues.
>  03/14/11 All drill down on 3055 and identify issues that would be
>           straightforward to clarify regarding constant expressions, type
>           operations and what is a hierarchical name.
>
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
>
>
>

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Mar 28 09:00:49 2011

This archive was generated by hypermail 2.1.8 : Mon Mar 28 2011 - 09:00:57 PDT