[sv-bc] Query regarding the usage of implicit generate block name in hierarchical reference

From: Harpreet Singh Anand <harpreet@noida.atrenta.com>
Date: Mon Mar 21 2011 - 23:34:08 PDT

Hi,

I have query regarding the usage of implicit generate block (created for unnamed block) in hierarchical references. The LRM, says,

"Although an unnamed generate block has no name that can be used in a hierarchical name, it needs to have a name by which external interfaces can refer to it."

My queries are:

1) Can we use implicit names in hierarchical references.

2) What does "external interfaces" mean? Is it like representing complete hierarchy and things like that

Example:

module test (input [7:0] in, input clk, output [7:0] out1);
 genvar i;
 generate
 for(i=0; i < 8; i = i+1)
 begin
                flop my_flop(in[i], clk, out1[i]);
                defparam genblk1[i].my_flop.P1 = i; // Is this usage of implicit names (genblk1) valid
 end
 endgenerate
endmodule

Thanks & Regards,
Harpreet Singh

________________________________

NOTE: This message and its attachments are intended only for the individual or
entity to which it is addressed and may contain confidential information or
forward-looking statements regarding product development. Forward-looking
statements are subject to change at Atrenta's sole discretion and Atrenta will have
no liability for the delay or failure to deliver any product or feature mentioned in
such forward-looking statements.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Mar 21 23:34:50 2011

This archive was generated by hypermail 2.1.8 : Mon Mar 21 2011 - 23:35:09 PDT