[sv-bc] import task example in 25.8

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Mon Mar 07 2011 - 00:58:31 PST

25.8 contains this example:

interface simple_bus #(AWIDTH = 8, DWIDTH = 8)
(input logic clk); // Define the interface

...

modport slave( input req, addr, mode, start, clk,
output gnt, rdy,
ref data,
import task slaveRead,
task slaveWrite);
// import into module that uses the modport

...

task slaveRead; // slaveRead method
...
endtask

...

task slaveWrite;
...
endtask
endinterface: simple_bus

The imports of slaveRead and slaveWrite in the slave modport contain the keyword task, but do not have parentheses.

The current BNF for method prototypes in modport declarations, requires parentheses, so this example appears to be illegal according to the letter of the LRM.

Mantis 3007 touches on this issue also.

Shalom

Shalom Bresticker
Intel LAD DA, Jerusalem, Israel
+972 2 589 6582 (office)
+972 54 721 1033 (cell)
http://www.linkedin.com/in/shalombresticker

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Mar 7 00:59:05 2011

This archive was generated by hypermail 2.1.8 : Mon Mar 07 2011 - 00:59:19 PST