[sv-bc] Bit/Part select of modport named argument

From: Surya Pratik Saha <spsaha@cal.interrasystems.com>
Date: Fri Feb 04 2011 - 02:15:48 PST

Hi,
I have a design like:
interface iface;
     logic [2:0] x;
     modport mport (input .j (x[1]));
endinterface

module top;
iface i ();
foo f (i.mport);
endmodule

module foo (iface.mport i);
     initial begin
         i.j[1] = 1; // Bit select of modport named argument
     end
endmodule

Is bit/part select of modport named argument allowed? I am also not sure
what is the meaning of that if it is allowed. Though some standard tools
do not give any meaningful error.

-- 
Regards
Surya
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Feb 4 02:17:14 2011

This archive was generated by hypermail 2.1.8 : Fri Feb 04 2011 - 02:17:43 PST