Re: [sv-bc] Unofficial Meeting Monday Jan 10 - 9am PST

From: Greg Jaxon <Greg.Jaxon@synopsys.com>
Date: Thu Jan 13 2011 - 09:24:42 PST
It sounds like this usage pattern is trying to create a modport array as a first-class object.
That might be an easier extension than one involving extra generate scopes.
Not that there's anything wrong with generate scopes... but they lead to identifier clutter.

On 1/12/2011 3:06 PM, Brad Pierce wrote:
An interface_port_header occurs in a module declaration, not in a modport declaration. His example uses generic interface ports exactly because otherwise he'd need to hard-code many module declarations differing only in the generate index in the interface_port_header, instead of using the single module declaration enabled by the generic trick.

-- Brad

________________________________________
From: owner-sv-bc@eda.org [owner-sv-bc@eda.org] On Behalf Of Bresticker, Shalom [shalom.bresticker@intel.com]
Sent: Wednesday, January 12, 2011 10:41 AM
To: brad_pierce@acm.org
Cc: Jonathan Bromley; sv-bc@eda.org
Subject: RE: [sv-bc] Unofficial Meeting Monday Jan 10 - 9am PST

Not a module declaration, but a modport declaration.

Exactly like Jonathan's example.

Shalom

-----Original Message-----
From: bradpiercephd@gmail.com [mailto:bradpiercephd@gmail.com] On
Behalf Of Brad Pierce
Sent: Wednesday, January 12, 2011 7:05 PM
To: Bresticker, Shalom
Cc: Jonathan Bromley; sv-bc@eda.org
Subject: Re: [sv-bc] Unofficial Meeting Monday Jan 10 - 9am PST

What would we be the use of it? You can't generate a module
declaration.

-- Brad

2011/1/12 Bresticker, Shalom <shalom.bresticker@intel.com>:
The BNF is

interface_port_header ::=
         interface_identifier [ . modport_identifier ]
       | interface [ . modport_identifier ]

Shouldn't you be able to use a generated modport in a non-generic
interface port declaration?
Shalom


-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Brad Pierce
Sent: Wednesday, January 12, 2011 2:51 AM
To: Jonathan Bromley
Cc: sv-bc@eda.org
Subject: Re: [sv-bc] Unofficial Meeting Monday Jan 10 - 9am PST

No, it's a semantic issue, because the current BNF can already
accept
your example, if we agree that the 'interface' keyword in a generic
interface_port_header can stand in for, not only an interface
instance, but also for a *scope* of an interface instance.

-- Brad

On Tue, Jan 11, 2011 at 3:53 PM, Brad Pierce <brad_pierce@acm.org>
wrote:
Jonathan,

On p. 29 of that presentation ( http://bit.ly/eipdSE ) , you show
a
very clever trick of using generic interface port declarations to
receive a generated modport.

I think the current BNF in A.1.3 (interface_port_header) never
contemplated the possibility of generate scopes, and to make your
trick work within the official standard, we'd need to correct that
oversight.

-- Brad
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Thu Jan 13 09:25:04 2011

This archive was generated by hypermail 2.1.8 : Thu Jan 13 2011 - 09:25:15 PST