RE: [sv-bc] Generate with multiple begins

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Thu Jan 13 2011 - 07:54:56 PST

What's wrong with generate-else? We use them all the time.

Shalom

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
> Brad Pierce
> Sent: Monday, January 10, 2011 10:44 PM
> To: sv-bc@eda.org
> Subject: RE: [sv-bc] Generate with multiple begins
>
> When Verilog-2001 added the generate construct, it allowed generate-
> else clauses, and I'm suggesting it would have been better to stick
> with the tried-and-true restrictions of VHDL. But, of course, it's too
> late to undo generate-else.
>
> -- Brad

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Jan 13 07:57:48 2011

This archive was generated by hypermail 2.1.8 : Thu Jan 13 2011 - 07:57:56 PST