[sv-bc] Interface instances in generate loops

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Nov 29 2010 - 14:21:22 PST

In http://www.edaboard.co.uk/system-verilog-interfaces-t455781.html it's claimed a couple times that synthesis doesn't support "interface instances in generate loops".

Anyone have an example of this issue?

Thanks!

-- Brad

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Nov 29 14:21:56 2010

This archive was generated by hypermail 2.1.8 : Mon Nov 29 2010 - 14:24:49 PST