RE: [sv-bc] Equality operators on unpacked arrays

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Thu Nov 25 2010 - 04:42:00 PST

Also see Mantis 2790 (http://www.eda-stds.org/mantis/view.php?id=2790) with respect to section 11.2.2.

Shalom

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Bresticker, Shalom
Sent: Thursday, November 25, 2010 12:18 PM
To: Greg Jaxon; sv-bc
Subject: RE: [sv-bc] Equality operators on unpacked arrays

I agree that type equivalence is required.

My argument is from 11.2.2:

"11.2.2 Aggregate expressions

Unpacked structure and array data objects, as well as unpacked structure and array constructors, can all be used as aggregate expressions. A multi-element slice of an unpacked array can also be used as an aggregate expression.

Aggregate expressions can be copied in an assignment, through a port, or as an argument to a subroutine.
Aggregate expressions can also be compared with equality or inequality operators.

If the two operands of a comparison operator are aggregate expressions, they shall be of equivalent type as defined in 6.22.2. Assignment compatibility of aggregate expressions is defined in 6.22.3 and, for arrays, in 7.6."

Shalom
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.
--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Nov 25 04:44:03 2010

This archive was generated by hypermail 2.1.8 : Thu Nov 25 2010 - 04:47:00 PST