Fwd: [sv-bc] What can SV interfaces do that SV classes can't, but should be able to?

From: Brad Pierce <brad_pierce@acm.org>
Date: Mon Nov 22 2010 - 10:52:40 PST

---------- Forwarded message ----------
From: Jonathan Bromley <jonathan.bromley@verilab.com>
Date: Mon, Nov 22, 2010 at 1:48 AM
Subject: Re: [sv-bc] What can SV interfaces do that SV classes can't,
but should be able to?
To: brad_pierce@acm.org
Cc: sv-bc@eda.org

Brad

You would have a tough time putting a clocking block in a class, I
think, but they're
quite useful in interfaces.  _A fortiori_, assertions.

Back to the old hobby-horse: Maybe it's *modports* that should really
be classes?
With interfaces distinguished from modules only by the fact that they
can possess
modports?  Ah well, that's water under the bridge...

--
Jonathan
On Mon, Nov 22, 2010 at 5:21 AM, Brad Pierce <brad_pierce@acm.org> wrote:
> Is it useful that interfaces can model with nets, instead of just with
> variables? If so, why?
> -- Brad
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Nov 22 10:53:16 2010

This archive was generated by hypermail 2.1.8 : Mon Nov 22 2010 - 10:55:58 PST