[sv-bc] RE: [sv-cc] Idea to allow $system calls via the DPI

From: Andrzej Litwiniuk <Andrzej.Litwiniuk@synopsys.com>
Date: Wed Aug 18 2010 - 14:21:33 PDT

Hi Wilson,

I suppose I can answer your question since DPI was derived from VCS DirectC that I co-authored.
The idea was that DirectC/DPI function calls would be indistinguishable from Verilog calls (also, hence the concept of input/output/inout formal arguments missing in PLI). Thus it would be possible to switch between different implementations (i.e. Verilog vs. C) without modifying the calls.

On the other subject, you wrote "you can easily ifdef between using PLI or DPI". It's still true with the current syntax., just put $pli_name or DPI_name in ifdef-ed macro and use 'macro in the calls.

Regards,
Andrzej
________________________________________
P.S. BTW Does anyone listening here know why the very
original DPI implementation didn't require the $, thus
making it look like the PLI? Was that considered?

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Aug 18 14:21:59 2010

This archive was generated by hypermail 2.1.8 : Wed Aug 18 2010 - 14:24:41 PDT