[sv-bc] The Verilog Preprocessor: Force for `Good and `Evil

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Wed Aug 04 2010 - 09:32:13 PDT

FYI: This is the abstract of a paper to be presented at Boston SNUG on Sept 21.

The Verilog Preprocessor: Force for `Good and `Evil
Wilson Synder [Cavium Networks]

Join an exploration of some fun and horrid usages of the Verilog Preprocessor, and learn best practices from them. Including: Good and bad message and assertion macros, using `line in generated code, the mystery of where comments land, and the localparam-vs-function-vs-define trade-offs. We then consider metaprogramming with defines, to build `if, `for, lookup tables and hashes inside the preprocessor, and use includes as a template language. We present vppreproc, an open-source 1800-2009 preprocessor, and how to leverage it for custom scripts. We conclude with a wrap up of vendor preprocessor compatibility

Shalom Bresticker
Intel LAD DA, Jerusalem, Israel
+972 2 589 6582 (office)
+972 54 721 1033 (cell)
http://www.linkedin.com/in/shalombresticker

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Aug 4 09:34:03 2010

This archive was generated by hypermail 2.1.8 : Wed Aug 04 2010 - 09:36:47 PDT