[sv-bc] RE: Email Vote: respond by 8AM PDT, Friday, July 30, 2010

From: Francoise Martinolle <fm@cadence.com>
Date: Mon Aug 02 2010 - 08:31:14 PDT

 

Ok, I did not realize that it was quoting 2005 LRM.
I agree that we can close this mantis item.
Thanks for clarifying.

Francoise
    '
-----Original Message-----
From: Bresticker, Shalom [mailto:shalom.bresticker@intel.com]
Sent: Monday, August 02, 2010 3:13 AM
To: Francoise Martinolle; Maidment, Matthew R; sv-bc@eda.org
Subject: RE: Email Vote: respond by 8AM PDT, Friday, July 30, 2010

Hi, Francoise.

> SVDB 1685 ___Yes ___No
> http://www.eda.org/svdb/view.php?id=1685
> According to this mantis item , this is illegal:
> parameter p = string'("hello");
> Is this what we want?

[SB] This Mantis item does not say that that this form is illegal.
The Mantis item was filed on SV-2005, which contained the statement that

"In an assignment to, or override of, a parameter without an explicit type declaration, the type of the right-hand expression shall be real or integral."

That statement no longer exists in SV-2009.
SV-2009 contains the following statements (excerpted from 6.20.2),

"A parameter declaration with no type or range specification shall default to the type and range of the final value assigned to the parameter, after any value overrides have been applied."

"A parameter with no range specification and with either a signed type specification or no type specification shall have an implied range with an lsb equal to 0 and an msb equal to one less than the size of the final value assigned to the parameter."

The Mantis item wanted to clarify that

parameter p = "hello"

is legal.

The motivation for that no longer exists and thus the Mantis item should be closed.

A clarification or correction for the two sentences I quoted from SV-2009 should be a new Mantis item.

Regards,
Shalom
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for the sole use of the intended recipient(s). Any review or distribution by others is strictly prohibited. If you are not the intended recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Aug 2 08:31:58 2010

This archive was generated by hypermail 2.1.8 : Mon Aug 02 2010 - 08:34:06 PDT