[sv-bc] 'expect' statements in functions (Was: Request to review Mantis 1627 resolution)

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Tue Jul 13 2010 - 11:54:22 PDT

Cc'ing in EC.

From: owner-sv-ac@eda.org [mailto:owner-sv-ac@eda.org] On Behalf Of Korchemny, Dmitry
Sent: Tuesday, July 13, 2010 10:56 AM
To: sv-bc@eda.org
Cc: sv-ac@eda.org
Subject: [sv-ac] Request to review Mantis 1627 resolution

Hi SV-BC,

SV-AC requests to review the resolution of Mantis 1627: clarify that expect statement not allowed in functions.

http://www.eda-stds.org/mantis/view.php?id=1627
http://www.eda-stds.org/mantis/file_download.php?file_id=4384&type=bug

Thanks,
Dmitry

---------------------------------------------------------------------

Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for

the sole use of the intended recipient(s). Any review or distribution

by others is strictly prohibited. If you are not the intended

recipient, please contact the sender and delete all copies.

--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 13 11:54:45 2010

This archive was generated by hypermail 2.1.8 : Tue Jul 13 2010 - 11:56:01 PDT