[sv-bc] Query related with package import in extern module declaration.

From: Dhiraj Kumar Prasad <dhiraj@cal.interrasystems.com>
Date: Fri Jun 25 2010 - 04:31:32 PDT

Hello,

I have a query regarding package import in extern module declaration.

Consider the following testcase
----------------------------------------

package pack1;
    parameter p1 = 10;
    parameter p2 = 20;
endpackage

extern module mod1 import pack1::p1;(out1, out2);

module mod1 import pack1::p2;(out1, out2);
output int out1;
output int out2;

initial
begin
    out1 = p1;
    out2 = p2;
end
endmodule

Both package items p1 and p2 should be visible ?? AS LRM say only about
parameter and port list and said nothing
about the package import.

Regards,
dhiRAj

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Jun 25 04:34:54 2010

This archive was generated by hypermail 2.1.8 : Fri Jun 25 2010 - 04:37:30 PDT