RE: [sv-ac] RE: [sv-bc] RE: Need for 1566 (variable number of arguments)

From: Steven Sharp <sharp@cadence.com>
Date: Mon Jun 07 2010 - 13:41:58 PDT

>From: "Rich, Dave" <Dave_Rich@mentor.com>

>The examples shown so far might benefit from parameterizable functions,
>but do not need variable number of args.
>
>The big problem with variable number of args is not so much the variable
>'number', but the 'variable data types' of each arg.

This could be addressed with a similar mechanism if it were possible to
create an untyped mailbox object using an assignment pattern.

Steven Sharp | Architect | Cadence

P: 508.459.1436 M: 774.535.4149 www.cadence.com

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 7 13:42:27 2010

This archive was generated by hypermail 2.1.8 : Mon Jun 07 2010 - 13:45:20 PDT