RE: [sv-bc] Posted draft of Top-25 Presentation to 1800 WG

From: Gran, Alex <alex_gran@mentor.com>
Date: Wed May 12 2010 - 13:49:36 PDT

> Where?
>
> Shalom
>
>
> > explicit mention that Top-25 refers to > 25 Mantis entries.

   It looks like Matt's updated slides are there, but the new version
has the same exact filename as the previous version, so you just need to
download the pdf again.
    "Top-25 refers to > 25 Mantis entries" is now a sub-bullet on page 3

Also, I'm not advocating this data be put into the WG presentation, just
sharing for those who might be interested.
If you fan-out all the dependencies, you will get 89 Mantis items after
removing duplicates. Most of this comes from 'design constructs' item
containing the 'parameters issues' master item

696 Ballot Feedback Issue 225: Add parameterized tasks and
functions
2310 Master issue for SV-BC Text Macro issues *Not
including Type 'Enhancement' or Status 'Closed'
  2140 Macros: clarify stripping of leading/trailing spaces around
arguments
  1478 nested macro definitions
  1397 LRM is unclear about multi-line string literal in text macro
  1399 leaving off commas at end of ordered port connection list
  1333 text macro actual argument syntax too restrictive
  1053 macro formal argument usage
  1052 compiler directives within compiler directives
  1049 whitespace/comments within compiler directive
  2300 Is white space allowed between the back-tic and the identifier
in text macro usages and compiler directives?
  2320 macros with one-line comments and continuation line
  1014 21: unclear which compiler directives must be alone on line
  2416 `", `\`", and `` are allowed only in macro text
3053 Master issue for SV-BC interface ports and virtual
interfaces
  1706 Meaning of static prefix for virtual interface assignments
  2845 virtual interface type checking versus interface type that had
been defparam'ed
  3047 Issues with virtual interfaces as types
  3048 Binding of interface names in virtual interfaces and interface
ports.
  2502 Cannot use parameterized interface in port declaration
  905 No way to use type from interface in ANSI-style port
declaration
3055 Summary issue of design construction issues *n/a 18
not included
  1245 type(i) of a foreach index i
  1508 What is a "hierarchical reference"?
  2344 external name generation for unnamed generate blocks
  2584 hierarchical references to unnamed generated blocks
  1058 6.3.5 Hierarchical references in parameter assignments - not
allowed?
  2351 Master issue for SV-BC Parameter issues
    2292 merge problems with value parameter description in
6.20.2
    2289 6.20.1 should say that generate block and compilation
unit-scope parameters are local #duplicate
    1618 describe parameters of dynamic types
    1504 introduce parameterized structures #Duplicate
    1419 Interface param via interface-type port reference
    1282 When is a parameter not a parameter?
    1273 Allowing parameters to define the length of a constant
    1224 Nonconstant parameter assignments in module
instantiations (A.4.1)
    1194 "Overriding module parameter values" subclause confusing
    1187 dynamic param
    1183 add mfactor parameters
    1105 Why only ranges on specparams (A.2.1.1)?
    1068 1364-2005 4.2.2, 5.1.6: parameter signedness
    1066 Parameters with signed but no range (6.20.2 and 22.9 in
P1800-2009/D4)
    1065 Unclear parameter type conversion rules (6.20.2 and
22.9)
    1058 6.3.5 Hierarchical references in parameter assignments -
not allowed? #duplicate
    987 A.1.4, A.7.1: Consistency on attributes on specparams
    956 25.2 (defparam) inaccurate
    953 Defparam of struct parameter member
    901 Localparam redefinition of interface type reference (BNF)
    696 Ballot Feedback Issue 225: Add parameterized tasks and functions
#duplicate
    167 Interfaces: How to parameterize and synthesize
    42 Shouldn't specparam have datatypes other than scalar or vector?
    2293 irregularity between signed and unsigned in 6.20.2
    2392 Extern module parameter and port lists, especially in
context of recent enhancements
    1685 6.3.2 should be clarified as allowing string literals
    966 $isunbounded()
    1350 $ and $isunbounded issues
    2466 Assigning parameter to specparam
    1813 Implied contradiction on unsized literal size
    2273 port declaration issues #duplicate
    2502 Cannot use parameterized interface in port declaration
#duplicate
    2520 Add ability to declare legal/illegal values/ranges in
parameter declarations
    2565 Confusion on setting parameters in configurations
    2573 allow parameter selected from an interface port to be a
constant expression #duplicate
    2574 class_scope parameter identifier missing in
ps_parameter_identifier
    2545 Avoid requiring needless interface parameters in virtual
interface declarations
    2733 Implicit parameter connections
  2573 allow parameter selected from an interface port to be a constant
expression
  1527 Constant function call can be to static class method
2991 Enumerate extension
1566 allow variable number of arguments to task/funcs,
macros, etc.
2114 Problems in definition of modports
210 Allow use of generate in module port list
3056 Master Item for Port Issues Under Consideration by SV-BC
for 2012
  2273 port declaration issues
  2593 Ballot comment #4: Omitting types in port declaration
1084 `ifdef boolean combination of identifiers
2115 Add initialx, alwaysx, always_combx, always_latchx,
always_ffx
3073 Collected $bits issues for prioritization
  2270 $bits ambiguities
  2503 $bits cannot be determined for some expressions without
evaluation
  1452 $bits(data_type) is too broad
1697 preprocessor `for loop
1202 Define standard preprocessor
1251 9.7.6 does not explicitly say what happens if "wait"
condition is 'x' or 'z'
2289 6.20.1 should say that generate block and compilation
unit-scope parameters are local
1504 introduce parameterized structures
1144 out of bounds addressing
2081 Not clear enough which kinds of statements are
prohibited in always_comb
1553 19.6: nested module ambiguities
2684 Ballot comment #68: Non-constant width part-select
enhancement
1861 Suggestion for major enhancement of modports
1523 How is ?: defined for non-integral data types?
3074 Connectivity Enhancements Master Item
  1956 syntax to support -dangles proposal
  2119 Unconnected wire declarations and assignments - wire ()
2124 `default_nettype var-type compiler directive

-----Original Message-----
From: Bresticker, Shalom [mailto:shalom.bresticker@intel.com]
Sent: Wednesday, May 12, 2010 1:18 PM
To: Maidment, Matthew R; Gran, Alex; sv-bc@eda.org
Subject: RE: [sv-bc] Posted draft of Top-25 Presentation to 1800 WG

Where?

Shalom
 

> explicit mention that Top-25 refers to > 25 Mantis entries.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed May 12 13:49:52 2010

This archive was generated by hypermail 2.1.8 : Wed May 12 2010 - 13:52:22 PDT