Re: [sv-bc] Virtual interface issue

From: Steven Sharp <sharp@cadence.com>
Date: Mon May 10 2010 - 08:56:51 PDT

For always_comb, it seems reasonable to disallow them or say that references
to them are ignored for sensitivity (as I think it already says for class
references). If always_comb is intended for synthesizable code, and these
are not synthesizable, then that doesn't seem unreasonable.

I don't see a good alternative to skipping the checks for drivers.

Steven Sharp | Architect | Cadence

P: 508.459.1436 M: 774.535.4149 www.cadence.com

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon May 10 08:57:16 2010

This archive was generated by hypermail 2.1.8 : Mon May 10 2010 - 09:00:02 PDT