RE: [sv-bc] enumerated types


Subject: RE: [sv-bc] enumerated types
From: Jacobi, Dan (dan.jacobi@intel.com)
Date: Fri Aug 29 2003 - 15:25:13 PDT


According to the BNF
A constant expression is any expression that consists of the following
operands
constant_function_call
genvar_identifier
number
parameter_identifier
specparam_identifier
casting_type ' ( constant_expression )
casting_type ' constant_concatenation
casting_type ' constant_multiple_concatenation
time_literal
'0 | '1 | 'z | 'Z | 'x | 'X
string

Dan Jacobi
Tel : 972-4-8655855
INet : 465-5855

-----Original Message-----
From: Francoise Martinolle [mailto:fm@cadence.com]
Sent: Friday, August 29, 2003 10:10 PM
To: sv-bc@eda.org
Subject: [sv-bc] enumerated types

The 3.1 systemVerilog LRM describes enumerated type consisting of enum
identifiers which values can be constant_expressions.

Can the values assigned to enum identifiers be parameters, local
parameters?
What can a constant_expression be?

Francoise
        '



This archive was generated by hypermail 2b28 : Fri Aug 29 2003 - 15:26:19 PDT