[sv-bc] RE: [sv-ac] Assertions System Function request for next SV Standard

From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
Date: Mon Apr 12 2010 - 08:54:24 PDT

Hi Cliff,

I would say that this your request belongs to SV-BC. This feature may be used anywhere in the design, and it is not assertion-specific.

Thanks,
Dmitry

From: owner-sv-ac@eda.org [mailto:owner-sv-ac@eda.org] On Behalf Of Clifford E. Cummings
Sent: Monday, April 12, 2010 8:58 AM
To: sv-ac@eda.org
Subject: [sv-ac] Assertions System Function request for next SV Standard

Cliff's SV-AC Top Feature Request (referencing my slides from the DVCon meeting):

(1) New system functions: $all_signals, $all_inputs, $all_outputs, $all_inouts (slide 35)

Regards - Cliff

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14355 SW Allen Blvd., Suite #100, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
<http://www.sunburst-design.com/>World Class Verilog & SystemVerilog Training

--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited
This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Apr 12 08:54:54 2010

This archive was generated by hypermail 2.1.8 : Mon Apr 12 2010 - 08:57:34 PDT