[sv-bc] ARM's SV-BC Enhancements for 2012

From: Daniel Schostak <Daniel.Schostak@arm.com>
Date: Mon Apr 12 2010 - 04:23:45 PDT

Referencing my slides from the Feb 26, 2010 requirements gathering:

(1) Ability to derive class from multiple parents (point 1 on slide 6, preference for java style multiple "interface" inheritance)
(2) Pointer copying for arrays and functions (points 1 and 2 on slide 4)
(3) Expressive enhancements (slide 5 including allowing chaining function invocations and use of new outside of declaration contexts)
(4) Ambiguity resolution (see slide 10 for examples of parts of the Standard that have been interpreted differently by different simulators)
(5) Extend support for generic programming (in particular point 3 on slide 4 about passing expressions to const ref arguments and point 3 on slide 6 about only parsing what is used, but would also include parameterized functions and variable number of function arguments as mentioned by others)
(6) Explicit support for cut points / stop ats in model checking (point 1 on slide 9)
(7) Simplify cross hierarchical references (various points on slide 7)

From, Daniel Schostak.

-- IMPORTANT NOTICE: The contents of this email and any attachments are confidential and may also be privileged. If you are not the intended recipient, please notify the sender immediately and do not disclose the contents to any other person, use it for any purpose, or store or copy the information in any medium. Thank you.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Apr 12 04:24:04 2010

This archive was generated by hypermail 2.1.8 : Mon Apr 12 2010 - 04:26:44 PDT