Re: [sv-bc] expressions not allowed in RHS or continous assign or on port connection list

From: Steven Sharp <sharp@cadence.com>
Date: Wed Apr 07 2010 - 17:10:38 PDT

Oops, missed Brad's first reply where he addressed the assignment operators.

Steven Sharp
sharp@cadence.com

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Apr 7 17:11:05 2010

This archive was generated by hypermail 2.1.8 : Wed Apr 07 2010 - 17:11:08 PDT