[sv-bc] expressions not allowed in RHS or continous assign or on port connection list

From: Daniel Mlynek <daniel.mlynek@aldec.com.pl>
Date: Wed Apr 07 2010 - 07:43:42 PDT

I cannot find in LRM resrtictions on expression on RHS of continuous assign
(same for port connection list items).
IMO some expressions should not be allowed ie:
assign w = i++;
assign w = i=+10;
assign w = foo(i); //where foo is function foo (ouput logic o); o=o-1;
endfunction
 
same for port connections:
sub uut(i++);
sub uut(i=+10);
sub uut(foo(i)); //where foo is function foo (ouput logic o); o=o-1;
endfunction
 
 
Should it be allowed or forbidden?
Is it described in LRM?
 
 
DANiel

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Apr 7 07:44:10 2010

This archive was generated by hypermail 2.1.8 : Wed Apr 07 2010 - 07:44:29 PDT