Re: [sv-bc] Proposal to change interface ref-port default mode -or- documentation


Subject: Re: [sv-bc] Proposal to change interface ref-port default mode -or- documentation
From: Karen Pieper (Karen.Pieper@synopsys.com)
Date: Thu Aug 21 2003 - 09:13:40 PDT


Cliff, for me to put a proposal in the fix field of the issue, the proposal
needs to be in the
form:

In Section....
REPLACE:
...

WITH:
...

Can you modify your proposal to be in that form?

Thanks,

Karen

At 06:27 PM 8/20/03 -0700, you wrote:
>Proposed change to section 9.6
>" ... Variables can only be driven by one continuous assignment or one
>primitive output. This also means that a variable cannot be driven by two
>continuous assignments from ref ports through two different modules to a
>common variable, nor can the common variable be driven by a continuous
>assignment through a ref port and by any other procedural assignment,
>including a procedural assignment through another ref port. It shall be an
>error for a variable driven by a continuous assignment or primitive output
>to have an initializer in the declaration or any procedural assignment.
>See also Section 5.6."
>
>Proposed change to section 18.8.1
> A ref port shall be connected to an equivalent variable data type.
> References to the port variable shall be
>treated as hierarchal references to the variable it is connected to in its
>instantiation. If a continuous assignment is made to ref-port connected
>variable data type, no other continuous or procedural assignment can be
>made to the variable data type, even if the assignments were made through
>other ref ports. This kind of port can
>not be left unconnected.



This archive was generated by hypermail 2b28 : Thu Aug 21 2003 - 09:14:59 PDT