[sv-bc] RE: [sv-ec] 3.1a LRM Changes documentation


Subject: [sv-bc] RE: [sv-ec] 3.1a LRM Changes documentation
From: David W. Smith (david.smith@synopsys.com)
Date: Wed Jul 23 2003 - 09:21:17 PDT


Greetings,

Shalom sent this fix to the LRM that I have included as LRM-3 in the
www.eda.org/sv LRM Changes page.

SV-BC is marked as the committee that owns this and needs to approve it. The
process is that once you have approved LRM-3 send me email (chair or
co-chair) and I will update the status on page.

Regards
David

David W. Smith
Synopsys Scientist

Synopsys, Inc.
Synopsys Technology Park
2025 NW Cornelius Pass Road
Hillsboro, OR 97124

Voice: 503.547.6467
Main: 503.547.6000
FAX: 503.547.6906
Email: david.smith@synopsys.com
http://www.synopsys.com

-----Original Message-----
From: shalom@il06exr06.mot.com [mailto:shalom@il06exr06.mot.com] On Behalf
Of Shalom Bresticker
Sent: Monday, July 21, 2003 10:40 PM
To: David W. Smith
Subject: Re: [sv-ec] 3.1a LRM Changes documentation

David,

I think you should add the following open issue as well.

Thanks,
Shalom

Subject:
      sv 3.1 errors in parameter declaration syntax
   Date:
      Wed, 09 Jul 2003 12:53:59 +0300
  From:
      Shalom Bresticker <Shalom.Bresticker@motorola.com>
    To:
      sv-bc@eda.org
   CC:
      ROB SLATER <r53680@motorola.com>, HILLEL MILLER <r53776@motorola.com>

Hi,

My colleague Rob Slater has pointed out that the SV LRM shows parameter
declarations in module_parameter_port_lists in the module header with
semicolons.

Examples (3.1 LRM):

p. 218, bottom:

interface simple_bus #(parameter AWIDTH = 8, DWIDTH = 8;) (input bit clk);
// Define the interface

p. 223

module ma #( parameter p1 = 1; parameter type p2 = shortint; ) (input logic
[p1:0] i, output logic [p1:0] o);

This is a mistake, isn't it?
It was first mentioned in http://www.eda.org/vlog-pp/hm/0227.html . A
correction was voted on in April 2002 (
http://www.eda.org/vlog-pp/hm/0542.html ), and has entered 1364-2001 as ETF
issue #114 (with a minor, transparent, change).

An example of the correct syntax can be seen in section 12.2 of 1364-2001.

--
Shalom Bresticker                           Shalom.Bresticker@motorola.com
Design & Reuse Methodology                             Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd.                    Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL                       Cell: +972 50 441478

-- Shalom Bresticker Shalom.Bresticker@motorola.com Design & Reuse Methodology Tel: +972 9 9522268 Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890 POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478



This archive was generated by hypermail 2b28 : Wed Jul 23 2003 - 09:21:49 PDT