[sv-bc] User request for the SV-BC


Subject: [sv-bc] User request for the SV-BC
From: Karen Pieper (Karen.Pieper@synopsys.com)
Date: Wed Jul 16 2003 - 14:58:04 PDT


>
> >From owner-sv-bc Wed Jul 16 13:29:01 2003
>Received: from motgate5.mot.com (motgate5.mot.com [144.189.100.105])
> by server.eda.org (8.12.0.Beta7/8.12.0.Beta7) with ESMTP id
> h6GKT06O013634
> for <sv-bc@eda.org>; Wed, 16 Jul 2003 13:29:01 -0700 (PDT)
>Received: from az33exr03.mot.com (pobox3.mot.com [10.64.251.242])
> by motgate5.mot.com (Motorola/Motgate5) with ESMTP id h6GKSx8M010506
> for <sv-bc@eda.org>; Wed, 16 Jul 2003 13:28:59 -0700 (MST)
>Received: from adttx.sps.mot.com (adttx.sps.mot.com [163.12.136.93])
> by az33exr03.mot.com (Motorola/az33exr03) with ESMTP id
> h6GKStgt030783
> for <sv-bc@eda.org>; Wed, 16 Jul 2003 15:28:58 -0500
>Received: from farquaad.sps.mot.com (farquaad [163.12.136.138])
> by adttx.sps.mot.com (8.11.7+Sun/8.10.2) with ESMTP id h6GKStm23426;
> Wed, 16 Jul 2003 15:28:55 -0500 (CDT)
>Received: (from shultz@localhost)
> by farquaad.sps.mot.com (8.11.7+Sun/8.10.2) id h6GKStE16293;
> Wed, 16 Jul 2003 15:28:55 -0500 (CDT)
>Date: Wed, 16 Jul 2003 15:28:55 -0500 (CDT)
>Message-Id: <200307162028.h6GKStE16293@farquaad.sps.mot.com>
>To: sv-bc@server.eda.org
>Subject: Multiple-concatenation/replication behavior
>From: Kurt Shultz <kurt.shultz@motorola.com>
>Reply-to: kurt.shultz@motorola.com
>
>
>All:
>
>I've recently been looking into the behavior of Synopsys VCS and
>Cadence Verilog-XL with regard to multiple concatenation when the
>replication count is zero. E.g., when the user writes:
>
> parameter FOO = <some_value>;
> wire [3:0] w;
> assign w = {1'b1,{(FOO-1){1'b1}},1'b1};
>
>the two simulators will assign w to 4'b0101 when FOO is 1 and to
>4'b0111 when FOO is 2. (VCS is kind enough to warn you about what it
>is doing when the replication count evaluates to zero.)
>
>In looking at the IEEE 1364-2001 LRM (section 4.1.14), a zero value
>for the replication count is explicitly forbidden.
>
>But the de facto standard, inferred from the two simulators, is that a
>replication operation evaluates to 1'b0 when its replication count
>evaluates to zero.
>
>I'm requesting that the SystemVerilog standard address this case
>explicitly as it is being used by some designers (perhaps knowingly,
>perhaps not) and the tools they are commonly using are accepting it.
>
>A search for "replication" in the SystemVerilog 3.1 LRM turned up
>table 3-2, which mentions only that the repetition count
>("multiplier") must be "of integral type". Section 7.11 doesn't
>describe any restriction on the multiplier, relying upon the
>definition from the Verilog standard.
>
>Related is the fact that, as far as I can tell, 1364 doesn't
>explicitly disallow negative replication counts, although that was
>probably intended.
>
>My apologies if this is not the right committee for this discussion.
>
>Cheers,
>Kurt



This archive was generated by hypermail 2b28 : Wed Jul 16 2003 - 15:00:01 PDT