[sv-bc] Proposal for sv-bc42-16 always_latch


Subject: [sv-bc] Proposal for sv-bc42-16 always_latch
From: Dave Rich (David.Rich@synopsys.com)
Date: Mon Mar 03 2003 - 04:27:18 PST


In section 9.3 Replace:
"The always_latch procedure differs from a normal always procedure in
the following ways:
— There is an inferred sensitivity list that includes every variable
read by the procedure.
— The variables written on the left-hand side of assignments may not be
written to by any other process.
— The procedure is automatically triggered once at time zero, after all
initial and always blocks have
been started, so that the outputs of the procedure are consistent with
the inputs."

with

"The *always_latch* procedure determines its sensitivity and executes
identically to the *always_comb* procedure."

Note that Stu also asked about allowing references to hierarchical
identifiers. This is legal, as with @*. No extra wording is required

-- 
--
Dave Rich
Principal Engineer, CAE, VTG
Tel:  650-584-4026
Cell: 510-589-2625
DaveR@Synopsys.com



This archive was generated by hypermail 2b28 : Mon Mar 03 2003 - 04:28:21 PST