Re: [sv-bc] task_proto_formal and func_proto_formal


Subject: Re: [sv-bc] task_proto_formal and func_proto_formal
From: Francoise Martinolle (fm@cadence.com)
Date: Wed Feb 12 2003 - 12:20:59 PST


Please ignore this. I just realized that there is also a task_declaration
production in which the arguments are not optional.

At 02:58 PM 2/12/2003 -0500, Francoise Martinolle wrote:
>May be Dan Jacobi can answer my question quickly
>
>I just was brought up to my attention that the production rule for task or
>function formal arguments shows the name of the formal being optional.
>
>function_proto_formal ::=
>input data_type [ variable_declaration_identifier ]
>| inout data_type [ variable_declaration_identifier ]
>| output data_type [ variable_declaration_identifier ]
>| variable_declaration_identifier
>
>
>How can the identifier be optional? How do you refer to the arguments then?
>It must only be for an external declaration where you don't need the name
>of the arguments.
>Then I suggest we have 2 different productions, one for external
>function/task declarations
>and another for a function/task declaration.
>The production also suggests that you can have a mix of formal with names
>and without
>names.
>
>
>
>
>I suspect that is only for:
>extern void myVerilogF(int, int, logic);



This archive was generated by hypermail 2b28 : Wed Feb 12 2003 - 12:21:45 PST