Re: Answer from "Arturo Salz" <Arturo.Salz@synopsys.com>]


Subject: Re: Answer from "Arturo Salz" ]
From: Karen Pieper (Karen.Pieper@synopsys.com)
Date: Sat Jan 11 2003 - 14:19:26 PST


The wording we voted on last Monday actually has different wording for the
two types.
The underlying types are the same, but one is for "C" and the other is for
SystemVerilog use.

K

At 06:22 PM 1/11/03 +0100, Vassilios.Gerousis@Infineon.Com wrote:

>Brad,
>
>The issue is that if you look at the LRM, you'll find two identical types
>now: byte and char.
>Both are defined as a signed 2-state, 8-bit integer. Surely we don't need
>two identical types,
>specially when we are trying to minimize the addition of new keywords.
>
> Arturo
>
>----- Original Message -----
>From: "Brad Pierce" <bpierce@synopsys.COM>
>To: "Arturo Salz" <Arturo.Salz@synopsys.COM>; "David W. Smith"
><david.smith@synopsys.COM>;
><sv-ec@eda.org>; <sv-bc@eda.org>; <sv-cc@eda.org>
>Sent: Friday, January 10, 2003 10:09 AM
>Subject: RE: [sv-ec] Unicode
>
>
>Arturo,
>
>I don't think 'byte' is *conceptually* redundant. If anything, 'byte' is a
>more basic concept than 'char'. We shouldn't force people to say 'char'
>when they mean 'byte'.
>
>-- Brad



This archive was generated by hypermail 2b28 : Sat Jan 11 2003 - 14:19:58 PST