Re: [sv-ec] Unicode


Subject: Re: [sv-ec] Unicode
From: Francoise Martinolle (fm@cadence.com)
Date: Fri Jan 10 2003 - 11:29:58 PST


The same remark was made at the bc meeting: identical type definition, 2
new keywords.
This is very unfortunate but because the bc guidelines are not to remove
anything
we may have to leave the systemVerilog language like that. Karen will be
checking if we can overrule this rule for this case. We should know by next
meeting...

Francoise
        '
At 10:29 AM 1/10/2003 -0800, Arturo Salz wrote:
>Brad,
>
>The issue is that if you look at the LRM, you'll find two identical types
>now: byte and char.
>Both are defined as a signed 2-state, 8-bit integer. Surely we don't need
>two identical types,
>specially when we are trying to minimize the addition of new keywords.
>
> Arturo
>
>----- Original Message -----
>From: "Brad Pierce" <bpierce@synopsys.COM>
>To: "Arturo Salz" <Arturo.Salz@synopsys.COM>; "David W. Smith"
><david.smith@synopsys.COM>;
><sv-ec@eda.org>; <sv-bc@eda.org>; <sv-cc@eda.org>
>Sent: Friday, January 10, 2003 10:09 AM
>Subject: RE: [sv-ec] Unicode
>
>
>Arturo,
>
>I don't think 'byte' is *conceptually* redundant. If anything, 'byte' is a
>more basic concept than 'char'. We shouldn't force people to say 'char'
>when they mean 'byte'.
>
>-- Brad



This archive was generated by hypermail 2b28 : Fri Jan 10 2003 - 11:30:48 PST