RE: Interface Notes - 20021127


Subject: RE: Interface Notes - 20021127
From: Jay Lawrence (lawrence@cadence.com)
Date: Mon Dec 02 2002 - 04:32:47 PST


Dave,

Your comment below:

> Think of an interface like a struct, except that
> you can elements going in different directions and you can have wires
> for bus resolution.

Is exactly the way I think of 1/3 of the interfaces specification. The
question I have is why do we need to duplicate and then restrict the
functionality of modules to get this functionality rather than extend
the existing port and struct constructs to get it? This 1/3 of
interfaces creates structural interconnect that should be done with
structures as nets and a way to specify direction of members of these
structs on ports (like the modport does).

The second 1/3 of interfaces is the ability to pass a reference to a
module instance down (up?) the hierarchy to simplify hierarchical
pathname problems. This is very cool.

The last 1/3 is the behavioral abstraction and explicit export of
declarations. These would be nice extensions to modules.

Jay

> -----Original Message-----
> From: Dave Rich [mailto:David.Rich@synopsys.com]
> Sent: Monday, December 02, 2002 1:52 AM
> To: Clifford E. Cummings
> Cc: sv-bc@eda.org; sv-ec@eda.org
> Subject: Re: Interface Notes - 20021127
>
>
> Hi Cliff,
>
> I agree with you that it doesn't make sense to replace all
> module ports
> with interfaces. I don't think that was ever their original
> intent, but
> that doesn't makes them any less powerful. It's like the drive or fly
> decision between two cities; it depends on how far apart they
> are, and
> how many people want to travel at the same time.
>
> The cases I see where interfaces are most useful are:
>
> Where there is repetition in port signals, either many instances of a
> group of signals between two modules or a single instance of signals
> between many modules. Think of an interface like a struct,
> except that
> you can elements going in different directions and you can have wires
> for bus resolution.
> Where trying to connect modules written by different people,
> groups or
> companies. The interface becomes a common template that every
> can work with.
>
> I think the above cases hold true whether you are simply using
> interfaces for the bundling of signals, or including higher level
> constructs in the interface.
>
> Dave
>
>
>
>
> Clifford E. Cummings wrote:
>
> > Hi, All -
> >
> > Some thoughts about interfaces for your consideration.
> >
> > Regards - Cliff
> > ----------------------------------------------------
> > Cliff Cummings - Sunburst Design, Inc.
> > 14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
> > Phone: 503-641-8446 / FAX: 503-641-8486
> > cliffc@sunburst-design.com / www.sunburst-design.com
> > Expert Verilog, Synthesis and Verification Training
>
>
> --
> --
> Dave Rich
> Principal Engineer, CAE, VTG
> Tel: 650-584-4026
> Cell: 510-589-2625
> DaveR@Synopsys.com
>
>
>



This archive was generated by hypermail 2b28 : Mon Dec 02 2002 - 04:33:40 PST