Re: Clarification for SV-BC18h and 18i


Subject: Re: Clarification for SV-BC18h and 18i
From: Shalom.Bresticker@motorola.com
Date: Tue Nov 26 2002 - 04:35:55 PST


Your meaning is still not clear to me.

Do you mean simply to emphasize the statement that a reg may not be written
through a port, only a net ?

If so, then say so explicitly and don't talk about implicit continuous
assignments, which confuse the issue.

In fact, you should omit talking about implied continuous assignments in the
previous paragraph as well, which talks about nets.

There is an errata filed on that issue in the 1364-2001 ETF,
because that description is not quite accurate.
In fact, it is problematic and misleading.

Shalom

> It means it has to get converted to a net.
>
> >The last half-sentence is not clear.
> >What does "it must go through an implicit continuous assignment" refer to,
> >and what does it mean?



This archive was generated by hypermail 2b28 : Tue Nov 26 2002 - 04:36:36 PST